aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2099/bug.vhdl
blob: 0117c10dac67a56c25558d6da4a2037266cd302d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity bug is
port(
    clk : in std_ulogic
);
end entity;

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity ent is
port(
    data : in unsigned(15 downto 0)
);
end entity;

architecture rtl of bug is

    signal tmp : std_ulogic_vector(31 downto 0);

begin
    u0 : entity work.ent 
    port map(data => unsigned(tmp(15 downto 0)));
end architecture;

architecture rtl of ent is
begin
end architecture;