aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2084/bug.vhdl
blob: 847ac0f1ba14c65442b2ff164e968ee24b05dd44 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library IEEE;
use IEEE.std_logic_1164.all;

entity bug is
	port (
		src : in std_ulogic_vector(31 downto 0)
	);
end bug;

architecture rtl of bug is
	type array_t is array(0 to 0) of src'subtype;
	signal s : array_t;
begin

end architecture;