aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2081/ent.vhdl
blob: f9fefd52869e20039e5f8cfeadaa0bb2f9cef5b3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

entity ent is
end entity;

architecture a of ent is
	signal foo : std_logic_vector(7 downto 0);
begin
	process(foo)
	begin
		if foo /= x"00" then
			assert false;
		end if;
	end process;
end architecture;