aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2080/tb_ent.vhdl
blob: d9aed6d71552c5040c21495417cb7d131eef1ad0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;

entity tb_ent is
end entity;

architecture a of tb_ent is
	signal a, b : std_logic_vector(7 downto 0);
begin
	uut: entity work.ent port map (a => a, b => b);

	process
	begin
		a <= x"42";
		wait for 1 ns;
		assert b = x"00";

		wait;
	end process;
end architecture;