aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2077/ent6.vhdl
blob: 07ffb36539c27369c574c062fecc058252a8d21e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity ent6 is
	generic (
		WIDTH : positive := 8;
		DEPTH : positive := 256
	);
	port (
		clk: in std_logic;

		write_enable: in std_logic;
		write_address: in natural range 0 to DEPTH-1;
		input: in std_logic_vector(WIDTH-1 downto 0);

		read_address: in natural range 0 to DEPTH-1;
		output: out std_logic
	);
end entity;

architecture a of ent6 is
begin
  process(clk)
    type memory_t is array(0 to DEPTH-1) of std_logic_vector(WIDTH-1 downto 0);

    variable memories : memory_t;
  begin
    if rising_edge(clk) then
      output <= and memories(read_address);

      if write_enable then
        memories(write_address) := input;
      end if;
    end if;
  end process;
end architecture;