aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2077/ent2.vhdl
blob: f4f91f827e5b69360019d0d25c5717cbb5c9401f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity ent2 is
	generic (
		DEPTH : positive := 256;
		WAYS : positive := 4
	);
	port (
		clk: in std_logic;

		write_enable: in std_logic;
		active_way: in natural range 0 to WAYS-1;
		write_address: in natural range 0 to DEPTH-1;
		input: in std_logic;

		read_address: in natural range 0 to DEPTH-1;
		outputs: out std_logic
	);
end entity;

architecture a of ent2 is
begin
	process(clk)
          type memory_t is array(0 to DEPTH-1) of std_logic;
          type memories_t is array(0 to WAYS-1) of memory_t;

          variable memories : memories_t;
	begin
          if rising_edge(clk) then
            outputs <= memories(active_way)(read_address);

            if write_enable then
              memories(active_way)(write_address) := input;
            end if;
          end if;
	end process;
end architecture;