aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2073/ivoice2.vhdl
blob: 995c245fe8506f50cd0068e4244cb4ea8ca3a7bd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
-- Massively reduced testcase - the actual file I'm attempting to build is:
-- https://github.com/MiSTer-devel/Intv_MiSTer/blob/master/rtl/intv/ivoice.vhd

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

ENTITY ivoice2 IS
  PORT (
    pc : natural range 0 to 7;
    romd : std_logic_vector(15  DOWNTO 0);
    sound     : OUT std_logic_vector(7 downto 0)
    );
END ;

ARCHITECTURE rtl OF ivoice2 IS
BEGIN
  sound <=romd(7+pc downto pc);
END ARCHITECTURE rtl;