aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2072/tb_swaptest.vhdl
blob: 194f3c9d0ce6b87645fa8052f358563d3f9e3d62 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

library std;
use std.textio.all;

entity tb_swaptest is
end tb_swaptest;

architecture behaviour of tb_swaptest
is
	constant clk_period : time := 10 ns;
	signal clk : std_logic;
	signal d : unsigned(7 downto 0) := X"c5";
	signal q : unsigned(7 downto 0);
begin

	clk_process: process
	begin
	    for i in 1 to 10 loop
		clk <= '0';
		wait for clk_period/2;
		clk <= '1';
		wait for clk_period/2;
	    end loop;
	    wait;
	end process;

	st : entity work.swaptest
	port map (
		clk => clk,
		d => d,
		q => q
	);

end architecture;