aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2062/fxt2.vhdl
blob: a63ff4d7ec9731de096ad09bb82b59979e01a277 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.fixed_pkg.all;

entity fxt2 is port (
   a : in  std_logic_vector(5 downto 0);
   y : out ufixed(3 downto -2));
end entity;

architecture beh of fxt2 is
begin
   y <= to_ufixed(a, 6, 1);
end beh;