aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2062/fxt.vhdl
blob: 8ee26e5a28a29b9e20d78d6d0291189d67cc7a7d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

use work.fixed_pkg.all;

entity fxt is port (
   a : in  std_logic_vector(6 downto 0);
   y : out ufixed(3 downto -2));
end entity;

architecture beh of fxt is
begin
   y <= to_ufixed(a, 5, 1);
end beh;