aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2054/testcase3.vhdl
blob: 8323db17ed6cf9b62e3c0adc395b4154c22216d4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;

entity testcase is
    port (
        clk : in std_logic;
        i   : in std_ulogic_vector(63 downto 0);
        o   : out std_ulogic_vector(63 downto 0)
        );
end entity testcase;

architecture behaviour of testcase is
    signal edge : std_ulogic_vector(63 downto 0) := (others => '1');
begin
    testcase_0: process(clk)
    begin
        if rising_edge(clk) then
            edge <= i;
            o <= edge;
        end if;
    end process;
end behaviour;