aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2054/flip_flop.vhdl
blob: a5bbe5d275a356da821467863db35dbaee7dd616 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;

entity flip_flop is 
  port (
         clk : in std_logic;
         wire : in std_logic;
         reg : out std_logic
       );
end;

architecture a_flip_flop of flip_flop is 
begin
  reg <= wire when rising_edge(clk);
end;