aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2046/engine.vhdl
blob: ea9fda89d242bbb39b2f7b7307c04fdaac46ee93 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
library ieee;
use ieee.std_logic_1164.all;

entity phony is
	generic (
		INDEX : natural := 0
	);
	port (
		i : in  std_logic;
		o : out std_logic
	);
end entity;

architecture synth of phony is

	signal o6 : std_logic := '0';

	component LUT6 is
		generic (
			INIT : bit_vector
		);
		port (
			O  : out std_logic;
			I0 : in  std_logic;
			I1 : in  std_logic;
			I2 : in  std_logic;
			I3 : in  std_logic;
			I4 : in  std_logic;
			I5 : in  std_logic
		);
	end component;

begin

	lutA : LUT6
		generic map (
			INIT => x"0123456789012345"
		)
		port map (
			O  => o6,
			I0 => i,
			I1 => i,
			I2 => i,
			I3 => i,
			I4 => i,
			I5 => i
		);

	o <= o6;

end architecture;

library ieee;
use ieee.std_logic_1164.all;

library work;
use work.all;

entity engine is
	generic (
		SIZE : natural := 1000
	);
	port (
		i : in  std_logic;
		o : out std_logic
	);
end entity;

architecture synth of engine is

begin

	chunks : for b in 0 to SIZE-1 generate

		ph : entity phony
			generic map (
				INDEX => b
			)
			port map (
				i => i,
				o => open
			);

	end generate;

	o <= i;

end architecture;