aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2045/ghdlcrash.vhdl
blob: 4fda4dde4759444de437085f9e0fded30bea2179 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
library ieee;
use ieee.std_logic_1164.all;

entity ghdlcrash is
	port (
		i : in  std_logic;
		o : out std_logic
	);
end ghdlcrash;

architecture synth of ghdlcrash is

	-- Utility function to calculate minimum of two values
	function min(a, b : natural) return natural is
		variable m : natural := 0;
	begin

		-- This line makes GHDL crash
		m := a when a <= b else b;

		-- This works
		if a <= b then m := a ; else m := b; end if;

		return m;
	end function;

	-- Initialize general input grouping
	constant CST : natural := min(0, 0);

begin

	-- Phony functionality
	o <= i;

end architecture;