aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2021/ent.vhdl
blob: 71c105feba47a9ee39bcc5c95ede2ba4a661c89d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity ent is
end ent;

architecture ent of ent is
  type my_record is record
    field_a : std_logic_vector;                           -- Parametrized on instantiation
    field_b : std_logic_vector(31 downto 0); -- Width set by generic
  end record;

  signal bar : my_record(field_a(7 downto 0));
  signal baz : my_record(field_a(7 downto 0));

begin

end ent;