aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2019/repro2.vhdl
blob: f2accf8fa682b0654331780396295500fade576e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

entity repro2 is
  port (p : out std_ulogic_vector(3 downto 0));
  signal s : std_ulogic_vector(3 downto 0);
end entity;

architecture a of repro2 is
begin
  s <= x"3";
  p <= s;
end architecture;