aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2013/testcase.vhdl
blob: a5bfa1bff68f30ffb29c29c5ec4fdd146996cfc4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity testcase is
    port (
        state   : in std_ulogic;
        class   : in std_ulogic;
        o       : out std_ulogic_vector(3 downto 0)
        );
end entity testcase;

architecture behaviour of testcase is
    signal misc_sel      : std_ulogic_vector(3 downto 0);
begin
    testcase_0: process(all)
    begin
        misc_sel <= "0000";

        case state is
           when '0' =>
                misc_sel <= "0111";
           when '1' =>
                if class = '1' then
                    misc_sel(3) <= '1';
                end if;
           when others =>
        end case;

        o <= misc_sel;
    end process;
end architecture behaviour;