aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2013/tb_tc3.vhdl
blob: 35579b791e635e417c4371ca1d184d7e5c1efefe (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
entity tb_tc3 is
end tb_tc3;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_tc3 is
  signal state : std_ulogic;
  signal o     : std_ulogic_vector(3 downto 0);
begin
  dut: entity work.tc3
    port map (state, o);

  process
  begin
    state <= '0';
    wait for 1 ns;
    assert o = "0111" severity failure;

    state <= '1';
    wait for 1 ns;
    assert o = "1000" severity failure;

    wait;
  end process;
end behav;