aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2011/testcase.vhdl
blob: 3e4b7995ccd43756148c8d0aec5576c38a673ae2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity testcase is
    port (
        misc_sel : in std_ulogic_vector(3 downto 0);
        result   : out std_ulogic_vector(63 downto 0)
        );
end entity testcase;

architecture behaviour of testcase is
begin
    testcase_0: process(all)
        variable misc : std_ulogic_vector(63 downto 0);
    begin
        case misc_sel is
            when "1101" =>
                misc := x"FFFFFFFF00000000";
                --misc := x"FFFFFFFF80000000";
            when others =>
                misc := x"0000000000000000";
        end case;
        result <= misc;
    end process;
end architecture behaviour;