aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2002/bug.vhdl
blob: 176dcea0d2a86e05c8497f60a2216c4a313ffa40 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library IEEE;
use IEEE.std_logic_1164.all;

entity bug is
	port (
		o : out std_ulogic_vector(33 downto 0)
	);
end bug;

architecture struct of bug is
    constant c : std_ulogic_vector(33 downto 0) := "0000000000000000000000000001111100";
begin
    o <= c;
end architecture;