aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1991/issue.vhdl
blob: a0c5967c5b2fa9ae0fa62606c1cadde34ead9c88 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity issue is
  port (
    clk : in std_logic;
    idx : in std_logic_vector(7 downto 0);
    led : out std_logic
  );
end issue;

architecture implementation of issue is

begin

    process(clk)
    begin
      if (rising_edge (clk)) then
        if idx <= x"10000000" then
          led <= '1';
        else
          led <= '0';
        end if;
      end if;
    end process;

end implementation;