aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1972/ent.vhdl
blob: 502f4778516d10761dee2412a4d19faa5b7e281a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;

entity ent is
  port (output : out std_ulogic);
end entity;

architecture rtl of ent is
  signal sr : std_ulogic_vector(0 downto 1);
begin
  output <= sr(1);
end architecture;