aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1951/tb_sub01.vhdl
blob: f47abe5de6f712dd5709d4cad13626d2ad0ef5ba (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity tb_sub01 is
end tb_sub01;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_sub01 is
  signal i, o : std_logic_vector(3 downto 0) := x"0";
begin
  dut: entity work.sub01
    port map (i => i, o => o);

  process
  begin
    i <= x"0";
    wait for 1 ns;
    assert o = x"1" severity failure;
    wait;
  end process;
end behav;