aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1944/test.vhdl
blob: 392ac438cc5a6ffc700c4d73787077bec772d78c (plain)
1
2
3
4
5
6
7
8
9
10
11
package gen_pkg is
   generic (type T);
end gen_pkg;

entity test is
end entity test;

architecture simple of test is
   package pkg is new work.gen_pkg generic map (t => integer);
begin
end architecture simple;