aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1903/tb.vhdl
blob: aab6a85feb1d5a136aa8713db02d4e67801ed4b8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library ieee;
use ieee.std_logic_1164.all;

entity tb is port (
   clk  :  in std_logic;
   rst  :  in std_logic;
   a    :  in std_logic;
   y    : out std_logic);
end entity;

architecture beh of tb is
signal yo : std_logic;

procedure ff(signal c: in std_logic; r: in std_logic; i: in std_logic; signal o: out std_logic) is
begin
   wait until c'event and c ='1';
   if r = '1' then o <= '0'; else o <= i; end if;
end ff;

begin
   ff(clk, rst, a, yo);
   y <= yo;
end beh;