aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1886/test3.vhdl
blob: d59fb236c333c0d6fe0c35e54bd9b80e4cbf34bd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test3 is
    port (
        crnum_in : in std_ulogic_vector(2 downto 0);
        cr_in    : in std_ulogic_vector(0 to 31);
        crf_out  : out std_ulogic_vector(3 downto 0)
    );
end;

architecture behaviour of test3 is
begin
    test_0: process(all)
        variable crnum : integer;
    begin
        crnum := to_integer(unsigned(crnum_in));
        crf_out <= cr_in(crnum * 4 to crnum * 4 + 3);
    end process;
end architecture behaviour;