aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1780/imem.vhdl
blob: 57848484e048e56443d8de364d4a4df38bca4fd0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity imem is
  port (
    clk_i  : in  std_ulogic;
    rden_i : in  std_ulogic;
    wren_i : in  std_ulogic;
    ben_i  : in  std_ulogic_vector(03 downto 0);
    addr_i : in  std_ulogic_vector(31 downto 0);
    data_i : in  std_ulogic_vector(31 downto 0);
    data_o : out std_ulogic_vector(31 downto 0);
    ack_o  : out std_ulogic
  );
end entity;

architecture imem_rtl of imem is

  signal addr : std_ulogic_vector(15 downto 0);

  type ram_t is array(0 to 2**15-1) of std_ulogic_vector(31 downto 0);

begin

  addr <= addr_i(addr'left+2 downto 2); -- word aligned

  verbose: block
  begin

    process(clk_i)
      variable memory : ram_t;
    begin
      if rising_edge(clk_i) then
        if wren_i then
          for x in 0 to 3 loop
            if ben_i(x) then
              memory(to_integer(unsigned(addr)))((x+1)*8-1 downto x*8) := data_i((x+1)*8-1 downto x*8);
            end if;
          end loop;
        end if;
      end if;
    end process;

    process(clk_i)
      variable memory : ram_t;
    begin
      if rising_edge(clk_i) then
        if rden_i then
          data_o <= memory(to_integer(unsigned(addr)));
        end if;
      end if;
    end process;
  end block;

end architecture;