aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1734/ent.vhdl
blob: 41fcfecbde77bff09d2c7acd7894aa5f5fccab65 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
context ieee.ieee_std_context;

entity fifo is
  generic ( gen : positive := 8 );
end fifo;

architecture arch of fifo is begin end;

library ieee;
context ieee.ieee_std_context;

entity ent is
  generic ( gen : integer := 0 );
end ent;

architecture arch of ent is
begin
  fifo: entity work.fifo generic map ( gen  );
end architecture;