aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1698/ent.vhdl
blob: aa5759faf1d843e546d327dda7742de9ca8b7537 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
library ieee;
use ieee.std_logic_1164.all;

entity ent is
   port (
      clk    : in  std_logic;
      input  : in  std_logic;
      output : out std_logic
   );
end entity ent;

architecture rtl of ent is

   signal r : std_logic;

begin

   process (clk)
   begin
      if rising_edge(clk) then
         r <= input;
      end if;
   end process;

   output <= r;

end architecture rtl;