aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1680/test_fail.vhdl
blob: 660558bced2f99262a4a2f9f1ad7d0061e8f2479 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
library ieee;
use ieee.std_logic_1164.all;

entity test_fail is
  port(
    reset_n_i : in std_ulogic;
    clock_i : in  std_ulogic;
    value_o : out std_ulogic
    );
end test_fail;

architecture beh of test_fail is
  
  signal value_s: std_ulogic;

  attribute nomerge : string;
  attribute nomerge of value_s : signal is "";
  
begin

  regs: process (clock_i, reset_n_i)
  begin
    if reset_n_i = '0' then
      value_s <= '0';
    elsif rising_edge(clock_i) then
      value_s <= not value_s;
    end if;
  end process;

  value_o <= value_s;

end architecture;