aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1675/tb_patacc.vhdl
blob: 15468118c9b2a4240744962296ed61339f7a9181 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
entity tb_patacc is
end tb_patacc;

library ieee;
use ieee.std_logic_1164.all;

use work.pkg.all;

architecture behav of tb_patacc is
  signal clk : std_logic;
  signal rst : std_logic;
  signal res : std_logic_vector(15 downto 0);
begin
  dut: entity work.patacc
    port map (clk, rst, res);

  process
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    rst <= '1';
    pulse;
    assert res = x"0000" severity failure;

    rst <= '0';
    pulse;
    assert res = x"0001" severity failure;

    pulse;
    assert res = x"0003" severity failure;

    pulse;
    assert res = x"0006" severity failure;
    wait;
  end process;
end behav;