aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1675/pkg.vhdl
blob: 797995576a01addaae7e14a875d8557c37a1d5de (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

package pkg is
  type bus_rec_out_t is record
    dat : std_logic_vector(7 downto 0);
    stb : std_logic;
    rst : std_logic;
  end record;

  type bus_rec_in_t is record
    dat : std_logic_vector(7 downto 0);
    stb : std_logic;
  end record;
end pkg;