aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1658/issue.vhdl
blob: a27d2f352f910b5a3afad2683ccdd602e0432d29 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
library ieee;
  use ieee.std_logic_1164.all;


entity issue is
  port (
    clk : in std_logic
  );
end entity issue;

architecture psl of issue is

  -- All is sensitive to rising edge of clk
  default clock is rising_edge(clk);

begin
  -- Error occurs when using a generate statement
  testG : if true generate

    attribute anyconst : boolean;
    signal b : natural;
    attribute anyconst of b : signal is true;

  begin

    -- don't work
    GEN_ASSUME : assume always b = 23;
    GEN_ASSERT : assert always b = 23;

  end generate testG;

end architecture;