aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1628/test2.vhdl
blob: 93f2d094ac4e636cc32d1cee98828f0bedffefe9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std_unsigned.all;

entity test2 is
  port (
   a : out std_logic_vector(3 downto 0));
end entity test2;

architecture synthesis of test2 is
begin
   a <= to_stdlogicvector(10, 4);
end architecture synthesis;