aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1628/test.vhdl
blob: c7de976c1b3f209a23f78b5a81038dec38611537 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std_unsigned.all;

entity test is
end entity test;

architecture synthesis of test is

   signal a : std_logic_vector(3 downto 0);

begin

   a <= to_stdlogicvector(10, 4);

end architecture synthesis;