blob: cd176d5576c9012826df4ed8349f3a00bd1896aa (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
|
library ieee;
use ieee.std_logic_1164.all;
entity repro3 is
port (clk : in std_logic;
o : out boolean);
end entity repro3;
architecture psl of repro3 is
begin
testG : if true generate
signal b : boolean := true;
begin
assert b;
end generate testG;
end architecture psl;
|