aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1572/ent.vhdl
blob: b8b67745c729d4142286d1f72d1898393997c1d0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
-- ent.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std_unsigned.all;
   
entity ent is
   port (
      clk_i  : in  std_logic;
      done_o : out std_logic
   ); 
end entity ent;
   
architecture synthesis of ent is
   signal u0 : std_logic_vector(2 downto 0) := "101";
begin
   done_o <= '0';
end architecture synthesis;