aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1540/ent2.vhdl
blob: f3fd57f5f83d2ce9b8950569c9416200fdf536b3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity ent2 is
    port (
        o: out bit_vector(3 downto 0)
    );
end entity;

architecture arch of ent2 is
begin
    o <= to_bitvector(std_ulogic_vector'("01LH"));
end architecture;