aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1540/ent1.vhdl
blob: 254f6014f186742fa01afd15a6ecc9634df1d536 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

entity ent1 is
    port (
        o: out bit
    );
end entity;

architecture arch of ent1 is
begin
    o <= to_bit(std_ulogic' ('L'));
end architecture;