aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1537/ent2.vhdl
blob: 795b09b86f6af41abeb3721d05247bbc916da616 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

entity ent is
    port (
        o: out std_ulogic_vector(8 downto 0)
    );
end entity;

architecture arch of ent is
begin
    o <= to_X01(std_ulogic_vector'("U01XZLHW-"));
end architecture;