aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1536/ent2.vhdl
blob: fabf756e81c1675eee9c2543f1c9ba84021b34ea (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity ent2 is
    port (
        i: in bit_vector(3 downto 0);
        o: out std_ulogic_vector(3 downto 0)
    );
end entity;

architecture arch of ent2 is
begin
    o <= to_stdulogicvector(i);
end architecture;