aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1534/xor02.vhdl
blob: 9d1c6331926e0c24e3f7e34045c27b4193546726 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

entity xor02 is
    port (
        o: out bit
    );
end entity;

architecture arch of xor02 is
    constant x: bit_vector(0 to 0) := "1";
    constant y: bit_vector(0 to 0) := "0";
begin
    o <= x(0) xor y(0);
end architecture;