aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1534/xor01.vhdl
blob: 41a8e252d129d13d5c49212c15561e974b634bd1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

entity xor01 is
    port (
        o: out bit_vector(3 downto 0)
    );
end entity;

architecture arch of xor01 is
begin
    o <= bit_vector'("1100") xor bit_vector'("1010");
end architecture;