aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1534/ent.vhdl
blob: ee5a53c15a40f529de4fa9c71f3f60149446bb1c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

entity ent is
    port (
        o: out std_ulogic
    );
end entity;

architecture arch of ent is
begin
    o <= to_stdulogic('0');
end architecture;