aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1481/e.vhdl
blob: d1bb591830c2ee90b86e64d803b1a6fb42aba831 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;

entity e is
    port (i : in  std_logic_vector(3 to 0);
          o : out std_logic);
end entity;

architecture a of e is
begin
    o <= xor(i);
end architecture;