aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1460/to01_float.vhdl
blob: 234438e712f6e4905f19ce6186ff65a0903cb65d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.float_pkg.all;

entity to01_float is 
port(
i0 : in  float (7 downto -6);
p0 : out float (7 downto -6));
end to01_float ;

architecture arch1 of to01_float is 

begin
--  p0 <= to_01(i0);
  p0 <= UNRESOLVED_float (STD_LOGIC_VECTOR(to_01(UNSIGNED(to_sulv(i0)))));
end arch1;