aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1460/tb_rightmost02.vhdl
blob: cda7ae8f7feae92184f1c6cf0f70104353ba450e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
entity tb_rightmost02 is
end tb_rightmost02;

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

architecture behav of tb_rightmost02 is
  signal b : signed (2 to 4);
  signal rb : integer;
begin
  dut_b: entity work.rightmost02
    port map (b, rb);

  process
  begin
    b <= b"010";

    wait for 1 ns;

    assert rb = 3 severity failure;

    wait;
  end process;
end behav;