aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1460/tb_leftmost02.vhdl
blob: fbcb277ba28d6be70c91a00fdd604ea6f2b10ff6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
entity tb_leftmost02 is
end tb_leftmost02;

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

architecture behav of tb_leftmost02 is
  signal b : signed (8 to 12);
  signal rb : integer;
begin
  dut_b: entity work.leftmost02
    port map (b, rb);

  process
  begin
    b <= b"00101";

    wait for 1 ns;

    assert rb = 10 severity failure;

    wait;
  end process;
end behav;