aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1460/rightmost02.vhdl
blob: a1d4724fed5aeb94312817d01231755cae9cb9de (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity rightmost02 is
  port (d : signed (2 to 4);
        res : out integer);
end rightmost02;

architecture behav of rightmost02 is
begin
  res <= find_rightmost (d, '1');
end behav;