aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1460/rightmost01.vhdl
blob: 30ae1c64b8e3913135753457362ad9282c3c59b3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity rightmost01 is
  port (d : unsigned (7 downto 0);
        res : out integer);
end rightmost01;

architecture behav of rightmost01 is
begin
  res <= find_rightmost (d, '1');
end behav;